Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Adjustable simulation timestep #23

Open
kyfrankie opened this issue Oct 3, 2022 · 0 comments
Open

Adjustable simulation timestep #23

kyfrankie opened this issue Oct 3, 2022 · 0 comments
Labels
enhancement New feature or request simulation Air Traffic Simulation

Comments

@kyfrankie
Copy link
Collaborator

kyfrankie commented Oct 3, 2022

Add a simulation variable delta_t to enable adjustable timestep in simulation. Right now, each timestep is defaulting to 1 second.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
enhancement New feature or request simulation Air Traffic Simulation
Projects
Status: Todo
Development

No branches or pull requests

1 participant