Skip to content

mhshabani79/MIPS-multi-cycle

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

5 Commits
 
 
 
 
 
 
 
 

Repository files navigation

MIPS-mulyi-cycle

this is the verilog-HDL implementation of MIPS32. the processor designed in Multi cycle mode.
The main verilog code of processor is in Modules.sv file.

Data path Design

below the RTL design of processpr, attached. datapath

Controller Design

the Controller State Machine(FSM): controller FSM
the ALU function table:
ALU function table