Skip to content

teekamkhandelwal/two-port-switch-test

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

15 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

two-port-switch-test

two port switch contaning router\

================================================================== RTL VIIEW

image

=============================================================== SIMULATION

image

====================================================================== OUTPUT

xcelium> run

T=0 [Driver] starting ...


T=0 [Monitor] starting ... T=0 [Generator] Loop:1/20 create next item

T=10 [Driver] waiting for item ...

T=10 Driver addr=0x52 data=0xe62e addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=30 [Monitor] Thread1 First part over

T=30 [Driver] waiting for item ... T=30 [Generator] Loop:2/20 create next item

T=30 Driver addr=0xd7 data=0xaa3 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=50 [Monitor] Thread1 Second part over

T=50 Monitor_Thread1 addr=0x52 data=0xe62e addr_a=0x0 data_a=0x0 addr_b=0xd7 data_b=0xaa3


T=50 [Driver] waiting for item ...

T=50 [Monitor] Thread0 First part over

T=50 [Scoreboard] ERROR! Mismatch addr=0x52 data=0xe62e addr_b=0xd7 data_b=0xaa3

T=50 [Generator] Loop:3/20 create next item

T=50 Driver addr=0xbe data=0x7e12 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=70 [Monitor] Thread0 Second part over

T=70 Monitor_Thread0 addr=0xd7 data=0xaa3 addr_a=0x0 data_a=0x0 addr_b=0xbe data_b=0x7e12


T=70 [Driver] waiting for item ...

T=70 [Monitor] Thread1 First part over

T=70 [Scoreboard] ERROR! Mismatch addr=0xd7 data=0xaa3 addr_b=0xbe data_b=0x7e12

T=70 [Generator] Loop:4/20 create next item

T=70 Driver addr=0xb data=0x8e8b addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=90 [Monitor] Thread1 Second part over

T=90 Monitor_Thread1 addr=0xbe data=0x7e12 addr_a=0xb data_a=0x8e8b addr_b=0x0 data_b=0x0


T=90 [Driver] waiting for item ...

T=90 [Monitor] Thread0 First part over

T=90 [Scoreboard] ERROR! Mismatch addr=0xbe data=0x7e12 addr_b=0x0 data_b=0x0

T=90 [Generator] Loop:5/20 create next item

T=90 Driver addr=0xa6 data=0xf35e addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=110 [Monitor] Thread0 Second part over

T=110 Monitor_Thread0 addr=0xb data=0x8e8b addr_a=0x0 data_a=0x0 addr_b=0xa6 data_b=0xf35e


T=110 [Driver] waiting for item ...

T=110 [Monitor] Thread1 First part over

T=110 [Scoreboard] ERROR! Mismatch addr=0xb data=0x8e8b addr_a=0x0 data_a=0x0

T=110 [Generator] Loop:6/20 create next item

T=110 Driver addr=0x17 data=0xbee1 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=130 [Monitor] Thread1 Second part over

T=130 Monitor_Thread1 addr=0xa6 data=0xf35e addr_a=0x17 data_a=0xbee1 addr_b=0x0 data_b=0x0


T=130 [Driver] waiting for item ...

T=130 [Monitor] Thread0 First part over

T=130 [Scoreboard] ERROR! Mismatch addr=0xa6 data=0xf35e addr_b=0x0 data_b=0x0

T=130 [Generator] Loop:7/20 create next item

T=130 Driver addr=0xab data=0x3a38 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=150 [Monitor] Thread0 Second part over

T=150 Monitor_Thread0 addr=0x17 data=0xbee1 addr_a=0x0 data_a=0x0 addr_b=0xab data_b=0x3a38


T=150 [Driver] waiting for item ...

T=150 [Monitor] Thread1 First part over

T=150 [Scoreboard] ERROR! Mismatch addr=0x17 data=0xbee1 addr_a=0x0 data_a=0x0

T=150 [Generator] Loop:8/20 create next item

T=150 Driver addr=0xa5 data=0x3920 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=170 [Monitor] Thread1 Second part over

T=170 Monitor_Thread1 addr=0xab data=0x3a38 addr_a=0x0 data_a=0x0 addr_b=0xa5 data_b=0x3920


T=170 [Driver] waiting for item ...

T=170 [Monitor] Thread0 First part over

T=170 [Scoreboard] ERROR! Mismatch addr=0xab data=0x3a38 addr_b=0xa5 data_b=0x3920

T=170 [Generator] Loop:9/20 create next item

T=170 Driver addr=0x5b data=0xd00 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=190 [Monitor] Thread0 Second part over

T=190 Monitor_Thread0 addr=0xa5 data=0x3920 addr_a=0x0 data_a=0x0 addr_b=0x5b data_b=0xd00


T=190 [Driver] waiting for item ...

T=190 [Monitor] Thread1 First part over

T=190 [Scoreboard] ERROR! Mismatch addr=0xa5 data=0x3920 addr_b=0x5b data_b=0xd00

T=190 [Generator] Loop:10/20 create next item

T=190 Driver addr=0x9c data=0x19e4 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=210 [Monitor] Thread1 Second part over

T=210 Monitor_Thread1 addr=0x5b data=0xd00 addr_a=0x0 data_a=0x0 addr_b=0x9c data_b=0x19e4


T=210 [Driver] waiting for item ...

T=210 [Monitor] Thread0 First part over

T=210 [Scoreboard] ERROR! Mismatch addr=0x5b data=0xd00 addr_b=0x9c data_b=0x19e4

T=210 [Generator] Loop:11/20 create next item

T=210 Driver addr=0xd4 data=0x1e8b addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=230 [Monitor] Thread0 Second part over

T=230 Monitor_Thread0 addr=0x9c data=0x19e4 addr_a=0x0 data_a=0x0 addr_b=0xd4 data_b=0x1e8b


T=230 [Driver] waiting for item ...

T=230 [Monitor] Thread1 First part over

T=230 [Scoreboard] ERROR! Mismatch addr=0x9c data=0x19e4 addr_b=0xd4 data_b=0x1e8b

T=230 [Generator] Loop:12/20 create next item

T=230 Driver addr=0xe5 data=0x2cd2 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=250 [Monitor] Thread1 Second part over

T=250 Monitor_Thread1 addr=0xd4 data=0x1e8b addr_a=0x0 data_a=0x0 addr_b=0xe5 data_b=0x2cd2


T=250 [Driver] waiting for item ...

T=250 [Monitor] Thread0 First part over

T=250 [Scoreboard] ERROR! Mismatch addr=0xd4 data=0x1e8b addr_b=0xe5 data_b=0x2cd2

T=250 [Generator] Loop:13/20 create next item

T=250 Driver addr=0x61 data=0x245f addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=270 [Monitor] Thread0 Second part over

T=270 Monitor_Thread0 addr=0xe5 data=0x2cd2 addr_a=0x0 data_a=0x0 addr_b=0x61 data_b=0x245f


T=270 [Driver] waiting for item ...

T=270 [Monitor] Thread1 First part over

T=270 [Scoreboard] ERROR! Mismatch addr=0xe5 data=0x2cd2 addr_b=0x61 data_b=0x245f

T=270 [Generator] Loop:14/20 create next item

T=270 Driver addr=0x72 data=0x1c36 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=290 [Monitor] Thread1 Second part over

T=290 Monitor_Thread1 addr=0x61 data=0x245f addr_a=0x0 data_a=0x0 addr_b=0x72 data_b=0x1c36


T=290 [Driver] waiting for item ...

T=290 [Monitor] Thread0 First part over

T=290 [Scoreboard] ERROR! Mismatch addr=0x61 data=0x245f addr_b=0x72 data_b=0x1c36

T=290 [Generator] Loop:15/20 create next item

T=290 Driver addr=0x77 data=0xefe6 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=310 [Monitor] Thread0 Second part over

T=310 Monitor_Thread0 addr=0x72 data=0x1c36 addr_a=0x0 data_a=0x0 addr_b=0x77 data_b=0xefe6


T=310 [Driver] waiting for item ...

T=310 [Monitor] Thread1 First part over

T=310 [Scoreboard] ERROR! Mismatch addr=0x72 data=0x1c36 addr_b=0x77 data_b=0xefe6

T=310 [Generator] Loop:16/20 create next item

T=310 Driver addr=0x51 data=0xd42e addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=330 [Monitor] Thread1 Second part over

T=330 Monitor_Thread1 addr=0x77 data=0xefe6 addr_a=0x0 data_a=0x0 addr_b=0x51 data_b=0xd42e


T=330 [Driver] waiting for item ...

T=330 [Monitor] Thread0 First part over

T=330 [Scoreboard] ERROR! Mismatch addr=0x77 data=0xefe6 addr_b=0x51 data_b=0xd42e

T=330 [Generator] Loop:17/20 create next item

T=330 Driver addr=0xf3 data=0xaea2 addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=350 [Monitor] Thread0 Second part over

T=350 Monitor_Thread0 addr=0x51 data=0xd42e addr_a=0x0 data_a=0x0 addr_b=0xf3 data_b=0xaea2


T=350 [Driver] waiting for item ...

T=350 [Monitor] Thread1 First part over

T=350 [Scoreboard] ERROR! Mismatch addr=0x51 data=0xd42e addr_b=0xf3 data_b=0xaea2

T=350 [Generator] Loop:18/20 create next item

T=350 Driver addr=0x96 data=0xe16a addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=370 [Monitor] Thread1 Second part over

T=370 Monitor_Thread1 addr=0xf3 data=0xaea2 addr_a=0x0 data_a=0x0 addr_b=0x96 data_b=0xe16a


T=370 [Driver] waiting for item ...

T=370 [Monitor] Thread0 First part over

T=370 [Scoreboard] ERROR! Mismatch addr=0xf3 data=0xaea2 addr_b=0x96 data_b=0xe16a

T=370 [Generator] Loop:19/20 create next item

T=370 Driver addr=0x8a data=0x49de addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=390 [Monitor] Thread0 Second part over

T=390 Monitor_Thread0 addr=0x96 data=0xe16a addr_a=0x0 data_a=0x0 addr_b=0x8a data_b=0x49de


T=390 [Driver] waiting for item ...

T=390 [Monitor] Thread1 First part over

T=390 [Scoreboard] ERROR! Mismatch addr=0x96 data=0xe16a addr_b=0x8a data_b=0x49de

T=390 [Generator] Loop:20/20 create next item

T=390 Driver addr=0x45 data=0xd2fb addr_a=0x0 data_a=0x0 addr_b=0x0 data_b=0x0


T=410 [Monitor] Thread1 Second part over

T=410 Monitor_Thread1 addr=0x8a data=0x49de addr_a=0x0 data_a=0x0 addr_b=0x45 data_b=0xd2fb


T=410 [Driver] waiting for item ...

T=410 [Monitor] Thread0 First part over

T=410 [Scoreboard] ERROR! Mismatch addr=0x8a data=0x49de addr_b=0x45 data_b=0xd2fb

T=410 [Generator] Done generation of 20 items Simulation complete via $finish(1) at time 410 NS + 4 ./environment.sv:51 $finish; xcelium> exi

AUTHOUR: TEEKAM CHAND KHANDELWAL

About

two port switch contaning router

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages